Nom

SIGNUM — Signum

Block Screenshot

Contents

Palette

Description

The Sign block indicates the sign of the input:

  • The output is 1 when the input is greater than zero.

  • The output is 0 when the input is equal to zero.

  • The output is -1 when the input is less than zero.

Dialog box

  • use zero_crossing

    Select to enable zero crossing detection.

    Properties : Type 'vec' of size 1

Default properties

  • always active: no

  • direct-feedthrough: yes

  • zero-crossing: yes

  • mode: yes

  • regular inputs:

    - port 1 : size [-1,1] / type 1

  • regular outputs:

    - port 1 : size [-1,1] / type 1

  • number/sizes of activation inputs: 0

  • number/sizes of activation outputs: 0

  • continuous-time state: no

  • discrete-time state: no

  • object discrete-time state: no

  • name of computational function: signum

Interfacing function

  • SCI/modules/scicos_blocks/macros/NonLinear/SIGNUM.sci

Computational function

  • SCI/modules/scicos_blocks/src/c/signum.c (Type 4)

Authors

Ramine Nikoukhah - INRIA