Nom

JKFLIPFLOP — JK flip-flop

Block Screenshot

Contents

Palette

Description

The JK flip flop is the most versatile of the basic flip-flops. It has two inputs traditionally labeled J and K. When J and K are different, the output takes the value of J at the next falling edge. When J and K are both low, no change occurs in the output state, when they are both high the output will toggle from one state to other. It can perform the functions of the set/reset flip-flop and has the advantage that there are no ambiguous states. It can also act as a T flip-flop to accomplish toggling action if J and K are tied together. This toggle application finds extensive use in binary counters. This block is almost used with digital number, the input data type is int8.

The truth table of this block is

J K Q(t) !Q(t)
0 0 Q(t-1) !Q(t-1)
0 1 0 1
1 0 1 0
1 1 !Q(t-1) Q(t-1)

Dialog box

  • Initial Value

    Initial Value of the state Q.

    Properties : Type 'vec' of size 1.

Default properties

  • always active: no

  • direct-feedthrough: yes

  • zero-crossing: no

  • mode: no

  • regular inputs:

    - port 1 : size [1,1] / type 5

    - port 2 : size [1,1] / type 1

    - port 3 : size [1,1] / type 5

  • regular outputs:

    - port 1 : size [1,1] / type 5

    - port 2 : size [1,1] / type 5

  • number/sizes of activation inputs: 0

  • number/sizes of activation outputs: 0

  • continuous-time state: no

  • discrete-time state: no

  • object discrete-time state: no

  • name of computational function: csuper

Interfacing function

  • SCI/modules/scicos_blocks/macros/IntegerOp/JKFLIPFLOP.sci

Compiled Super Block content

See also

Authors

Fady NASSIF - INRIA